SE 2DA4 Frequently Asked Questions

  1. How do I get Quartus Prime Software for home use?
  2. What edition of Quartus is used in our labs?
  3. How do I set up the license manager in our labs?
  4. How do I setup the license manager from home?
  5. How do I setup/install/use ModelSim
  6. How do I create a project and program the FPGA on DE1-SoC board?
  7. Can I leave the FPGA plugged in 24/7?
  8. Why doesn't the simulation of my D flip-flop/State Machine work?
  9. Differences between the tutorial in the book and software used in the lab.
  10. How to power on the DE1-SoC board?
  11. What will be the signals or logic levels for the toggle switches on DE1-SoC boards?
  12. What will be the signals or logic levels for the push buttons or KEYs on DE1-SoC boards?
  13. What kind of signal is required to turn the LEDs on or off?
  14. How to make the 7-Segment display light up?
  15. Is there other good reading material for DE1-SoC/Quartus beginners?
  16. How do I access the Department computer network?
  17. How do I access Quartus remotely?

Answers to Questions

  • "How do I get Quartus Prime Software for home use? "

    Quartus can be downloaded from Intel's website: 1) Go to https://www.intel.com/ 2) Under the "PRODUCTS" tab, find the "FPGAs & Programmable Devices" category 3) Select "Intel Quartus Prime Design Software" 4) Go to the "Download" tab to download the appropriate edition and version for your operating system. From Intel's website, you can download either the Standard Edition or the Lite Edition of Quartus Prime for Windows or Linux to install on your own PC/laptop. Quartus Prime Lite Edition is a free, no license required version of Quartus. Students can use it to compile their projects and download the projects to their DE1-SoC boards, if they have their own DE1-SoC boards at home. The Quartus Prime Lite Edition can be used without network access, but it does not have all features. We recommended you to download and install Quartus Prime Standard Edition Version 22.1.1, as this is the version and the edition of Quartus Prime installed on our lab computers. When download and install, please make sure the following three components are available. They are: 1) "Quartus Prime (include NIOS II EDS)", 2) Questa-Intel FPGA Edition. This is the EDA tool for simulation, and 3) "Cyclone V device support". Becasue our lab will use DE1-SoC boards, which belong to Cyclone V family.

    Our lab computers also have ModelSim-Intel 20.1 (both the Starter Edition and the Standard Edition) installed. This is an entry level simulator and it is handy and competent for our lab projects. You may need to install it too on your PC. Quartus Prime Standard Edition, Questa-Intel, and ModelSim-Intel Standard Edition require a licenses to run. Our deparment has a license file, which is at 27000@alteralm.cas.mcmaster.ca . You need to use VPN to access our department license server if you are off campus.
  • "What edition of Quartus is used in our labs?"

    The Quartus Prime Standard Edition is used in our labs. Quartus Prime Standard Edition supports more hardware and compiles faster than the Quartus free edition. It has more support from Altera and has more functions. A license is required to use this edition, although there is a 30 days trial version available.

  • "How do I set up the license manager in our labs?"

    License is required to be set up when user starts the Quartus for the first time. Our labs use a license file. The license file name is: 27000@alteralm.cas.mcmaster.ca. Steps to specify a license file for Quartus Prime in lab: 1.) Choose the option to use a valid license file to set up license

    Select Option to use a valid license file 2. ) Provide the license file name: Provide the license file name and click OK

    In case need to set up license in the middle of using Quartus II. The steps are as follows: Under Tools | License Setup, type in "27000@alteralm.cas.mcmaster.ca" for the license file. NOTE: quartus might still complain about the license if you try to compile or simulate your projects. If that happens, just execute the compile/execute command again and it should work fine. Check with the TAs to make sure the license file name has not been changed.

    ModelSim-Intel Standard Editon and Questa-Intel also need to set up a System Enironment Variable "LM_LICENSE_FILE" and set its value to a valid license file, which is "27000@alteralm.cas.mcmaster.ca" in our case. The steps are as followings: 1) On Windows task bar, right click the Windows icon and select “System”. 2) In the opened window, under the “Related Setting” section, click “Advanced system settings”. 3) In the opened “System Properties” window, click the tab “Advanced”, then click the button “Environment Variables”. 4) Under the section “System Variables”, click button “New…” to create a new environment variable. 5) In the “New System Variable” window, input “LM_LICENSE_FILE” as the variable name, and “27000@AlteraLM.cas.mcmaster.ca” as the variable value.
  • " How do I setup the license manager from home?"

    Download Cisco AnyConnect from: https://uts.mcmaster.ca/services/computers-printers-and-software/virtual-private-networking/ Follow the instructions in the section of "CREATE A CONNECTION" at: https://uts.mcmaster.ca/services/computers-printers-and-software/virtual-private-networking/ Basically, you need to enter "sslvpn.mcmaster.ca" for the server name. Then when promoted, you need to provide your MAC ID (username and password). The rest of the steps are the same as in lab.
  • "How do I setup/install/use ModelSim"

    For installing ModelSim-Intel, please refer to relative sections in note InstallSoftwareTools.pdf. For use the ModelSim, please see this simulation guide here: Notes_For_Using_ModelSim.pdf.
  • "How do I create a project and program the FPGA on DE1-SoC board?"

    The major steps to create a projects and program the FPGA on DE1-SoC boards are: 1) Start Quartus Prime. 2) Set up license, if it is required. 3) Create a new project by File | New, then select "New Quartus Prime Project". Or by File | New Project Wizard. If you have a project ready, then open it by File | Open Project. 4) Specify a working directory, a project name, and a name of the top-level design entry for the project. "the project must have a name, which is usually the same as the top level design entity..." NOTE: Please avoid saving the project on the desktop in the lab computers because the path to the working directory will contain spaces, and this will give troubles to Quartus to compile and to download the program to DE1-SoC. Save on your Z: drive, which is actually your file space on our department file server, or save in other folders instead. 5) For Family and Device Settings: The DE1-SoC board belongs to "Cyclone V" family, the FPGA is called "5CSEMA5F31C6". 6) For EDA Tool Settings: If you leave it as default, Questa-Intel FPGA will be used. If you prefer to use ModelSim-Intel, you can change the "Tool Name" for "Simulaiton" to "ModelSime" at this step. 7) Add new files to the project by File | New, then select the the types of Design Files such as VHDL, AHDL, or Verilog HDL files. 8) Remember to set a project file as the Top-Level Entity file by right click the file name in the Project navigator window while the "Files" tab is selected, then select "Set as Top-Level Entity" in the context menu. The Top-Level Entity is the root of a project design hierarchy. it is like the main function in C program. Select Files Right click a file name, then select Set as Top-Level Entity 9) If the project needs to use the buttons, switches, LEDs or other actual components on the DE1-SoC boards, users need to complete pin assignment steps. A convenient way to use the actual components on DE1-SoC boards is as follows: In the project, use the signal names in the same way as specified in DE1-SoC user manual. For example: for the 10 toggle switches the signal names should be : SW[0], SW[1]....SW[9], then assign pins by simply importing a pin assignment file, DE1_SoC.qsf which is provided by Altera and can be found on our course web page and in your provided lab materials. To import a pin assignment file, click Assignment | import assignment, then select the .qsf file. 10) Compile the project by Processing | Start Compilation, or by click the icon on the tool bar. bottons for compile and progam on the tool bar 11) Prepare the hardware to download the program to DE1-SoC board. This include: power on the DE1-SoC board, connect the USB/Blaster cable, and set the switch 10 properly. 12) Download the project to DE1-SoC board by Tools | Programmer, or by click the icon on the Quartus tool bar. Depending on your system setup, you may need the following steps to program the FPGA on DE1-SoC boards: a) If the Programmer window shows "No Hardware" for hardware setup, you need to click the button "Hardware Setup", then in the opened Hardware Setup window, double click "DE-SoC" and then close the window. click the Hardware Setup botton to set up hardware b) Now the Programmer window should look like the following image: if everything is OK c) In the lower part of your Programmer window, if the devices, "SOCVHPS" and "5CSEMA5", are not configured in the same way as shown in the above figure, you can delete all the devices, then click the "Auto Detect" button, and then select device "5CSEMA5". d) In the upper part of the Programmer window, RIGHT click the line for device "5CVSEMA5" and select "Change File", and then select the compile project .sof file. e) After the output file is changed, tick the check box in the "Program/Configure" column, and then click button "Start" on the left side to program FPGA.

  • "Can I leave the FPGA plugged in 24/7?"

    Please unplug the FPGA when not in use. It’s important to keep it within its operating range (0-80°C) so keep the FPGA on a flat surface with good air circulation above and below the board.
  • "Why doesn't the simulation of my D flip-flop/State Machine work?"

    Make sure that you are doing a timing simulation, and not a functional simulation. Sequential circuits have feedback which rely on gate delay to work correctly. Timing simulation includes gate delays, but functional simulation treats the circuit as ideal gates with zero delays!
  • "Differences between the tutorial in the book and software used in the lab."

    - In the Assignments|Settings window, in the "Device" category, the "Assign Pins" button does not appear. This should not be a problem as you will likely be setting these later anyway. - Similarly, `Assignments | Assign Pins' has been renamed to `Assignments | Pins'. This dialog (called the `Assignment Editor' in Quartus II 5.0) is substantially different than as described in the text. To assign a pin using the `Assignment Editor' dialog, double-click the leftmost spreadsheet cell, under the column `To'. This cell contains the text `<>'. Double-clicking on this results in a dropdown list where you can select any of the pins declared in your project (you may have to compile it first). If you like you can also enter pin names manually. The second column, 'Location', provides a drop-down list (when you double-click on any cell in this column) where you can select the hardware pin you would like to assign to the pin name you've selected. Pin names or hardware pin numbers that have been already selected are shown in italics. Hardware pins that do not correspond to the type of pin you are assigning are not shown (if, for example you are assigning an input pin, output-only pins are hidden). Filters are available at the top of the dialog. By default it only shows pins but you can change the category if you like. To remove an entry in a cell, press delete with that cell highlighted. To remove an entire line, highlight a cell on that line, and press Ctrl+Del. - Viewing the package from the top in the floorplan editor opens up the `Pin Planner', which looks somewhat different in version 5.0. It adds the functionality to assign pins, just like in the `Assignment Editor'. The option previously named `View | Assignments | Show Fitter Placements' has been renamed as simply `View | Show Fitter Placements'. The option named `View | Assignments | Show User Assignments' is no longer available (However, note that user-assigned pins and fitter-placed pins show up as different colours in the floorplan, making them fairly easy to distinguish anyway). - In the `Pin Planner' you cannot simply drag + drop inputs or outputs from one pin to another. You can, however, double-click on any pin to open a dialog box that will allow you to assign a pin name to that particular hardware pin. Changes take effect after sucessfully running the Fitter.
  • "How to power on the DE1-SoC board?"

    The red push button on the left side of the board is the power button.


  • "What will be the signals or logic levels for the toggle switches on DE1-SoC boards?"

    For the 10 toggle switches: When a switch is in the DOWN position (closest to the edge of the board) it provides a low logic level (0 volts) to the FPGA, and when the switch is in the UP position it provides a high logic level (3.3 volts).
  • "What will be the signals or logic levels for the push buttons or KEYs on DE1-SoC boards?"

    For the four push buttons: Each button provides a high logic level (3.3 volts) when it is not pressed, and provides a low logic level (0 volts) when depressed.
  • "What kind of signal is required to turn the LEDs on or off?"

    For the 10 user red LEDs on DE1-SoC boards, driving the associated pin for a LED to high logic level turns the LED on, and driving the pin low turns it off.
  • "How to make the 7-Segment display light up?"

    For the six 7-segment displays, applying a low logic level to a segment causes it to light up, applying a high logic level turns it off.
  • "Is there other good reading material for DE1-SoC/Quartus beginners?"

    1. DE1-SoC user manual: DE1-SoC_User_manual.pdf 2.Quartus Prime Introduction Using Verilog Designs: Quartus_Std_Introduction_Verilog.pdf 3. Introduction to Simulation of Verilog Designs: Quartus_II_Simulation.pdf
  • "How do I access the Department computer network?"

    This is a separate network from the one you use to access mail and mosaic, and requires a separate passwd. If you have been trouble accessing the dept computers in the lab, this may be why. The easiest way to set the CAS network password to be the same as your mcmaster.ca passwd (email etc) is to use the link below. You enter your macid and passwd, and it will set your cas passwd (the user id for CAS should already be set to the same as your macid) to the same as your macid passwd. https://www.cas.mcmaster.ca/macid
  • "How do I access Quartus remotely?"

    It is possible to access the Quartus software remotely on the Dept network. If you have Quartus installed and working on your own computer, that will give you the best behavior/performance by far. If you are having issues, or using Mac OS X, it is possible to access the dept computers remotely. The Dept has allocated several virtual windows machines with Quartus installed for SE 2DA4. Of that, most are being used for the in-person lab, but the rest are available for remote access. Note: before access web site below, you first need to be on campus or using a VPN (See 2DA4 FAQ about using a VPN). See here for details: https://www.cas.mcmaster.ca/support/index.php/Virtual_Desktop They are accessed using vmWare Horizon client (says there is a MacOS X client) or via a web browser (I'd recommend client). Log in using your CAS network userid and password (see earlier post), then select: ITB-238 vDesktops In the program menu, you can find Quartus under the "Intel FPGA" sub-menu.

Ryan Leduc
Last modified: Sept 8, 2023